ATOUTFOX
COMMUNAUTÉ FRANCOPHONE DES PROFESSIONNELS FOXPRO
Visual FoxPro : le développement durable

Forum AtoutFox : Re: _vfp.Eval() - curiosité   

Sujet

rss Flux RSS des derniers messages

Vous devez vous identifier pour pouvoir poser une question ou répondre.

jeu. 06 juillet 2017, 12h36

Francis Faure
France France

atoutfox.public.association

Re: _vfp.Eval() - curiosité

Bonjour Gregory,

si tu initialise tes variables locales dans TestVfpEval() avant création des objets, par exemple :
aa[1]=0
naa=0
alors ça marche.

autre solution : tu inverse les appels GetResultObject1() et GetResultObject2 ainsi les variables seront initialisées

Cordialement
Francis



Le jeu. 06 juillet 2017, 12h12 Gregory Adam a écrit :
>
*_______________________________________________________________________________

function TestVfpEval()
local objResult1, objResult2, obj
obj = createobject('TestVfpEval')
local aa[1], naa
=m.obj.GetResultObject1(@m.objResult1)
=m.objResult1.GetArray(@m.aa, @m.naa)
?alen(aa) && 1
?m.naa    && .T.
=m.obj.GetResultObject2(@m.objResult2)
=m.objResult2.GetArray(@m.aa, @m.naa)
?alen(aa) && 5
?m.naa    && 5
endfunc
*_______________________________________________________________________________

define class TestVfpEval as Relation
function GetResultObject1(obj)
obj = _vfp.Eval([createobject('TestVfpEvalRelation')])
endfunc
function GetResultObject2(obj)
obj = createobject('TestVfpEvalRelation')
endfunc
enddefine
*_______________________________________________________________________________

define class TestVfpEvalRelation as Relation
function GetArray(aa, naa)
external array aa
naa = 5
dimension aa[m.naa]
local i
for i = 1 to m.naa
aa[m.i] = m.i
endfor
enddefine
*_______________________________________________________________________________


>
>
> .
>


Permalink : http://www.atoutfox.org/nntp.asp?ID=0000018329
20 088 messages dans le forum • Liste complète des messages

Publicité

Les pubs en cours :


www.atoutfox.org - Site de la Communauté Francophone des Professionnels FoxPro - v3.4.0 - © 2004-2024.
Cette page est générée par un composant COM+ développé en Visual FoxPro 9.0-SP2-HF3